74163, 40163 4-bit synchronous binary counter with load, reset, and ripple carry output. +----------+ /RST |1 +--+ 16| VCC CLK |2 15| RCO P0 |3 14| Q0 P1 |4 74 13| Q1 P2 |5 163 12| Q2 P3 |6 11| Q3 ENP |7 10| ENT GND |8 9| /LOAD +----------+ [This information is part of the GIICM]